28nm
因为性价比进步一直以来都被视为摩尔定律的中心意义,所以20nm以下制程的本钱上升问题一度被认为是摩尔定律开端失效的标志,而28nm作为最具性价比的制程工艺,具有很长的生命周期。

在规划本钱不断上升的情况下,只要少数客户能负担得起转向高档节点的费用。据Gartner统计,16nm /14nm芯片的均匀IC规划本钱约为8000万美元,而28nm体硅制程器材约为3000万美元,规划7nm芯片则需求2.71亿美元。IBS的数据显示:28nm体硅器材的规划本钱大致在5130万美元左右,而7nm芯片需求2.98亿美元。关于大都客户而言,转向16nm/14nm的FinFET制程太昂贵了。



就单位芯片本钱而言,28nm优势显着,将坚持较长生命周期。一方面,相较于40nm及更早期制程,28nm工艺在频率调节、功耗操控、散热管理和尺度紧缩方面具有显着优势。另一方面,因为16nm/14nm及更先进制程采用FinFET技能,维持高参数良率以及低缺点密度难度加大,每个逻辑闸的本钱都要高于28nm制程的。

28nm处于32nm和22nm之间,业界在更早的45nm阶段引入了high-k值绝缘层/金属栅极(HKMG)工艺,在32nm处引入了第二代 high-k 绝缘层/金属栅工艺,这些为28nm的逐渐成熟打下了根底。而在之后的先进工艺方面,从22nm开端采用FinFET(鳍式场效应晶体管)等。可见,28nm正好处于制程过渡的关键点上,这也是其性价比高的一个重要原因。

现在,行业内的28nm制程首要在台积电,GF(格芯),联电,三星和中芯世界这5家之间竞赛,别的,2018年底宣告量产联发科28nm芯片的华虹旗下的华力微电子也开端参加竞赛队伍。

虽然高端市场会被 7nm、10nm以及14nm/16nm工艺占据,但40nm、28nm等并不会退出。如28nm~16nm工艺现在仍然是台积电营收的重要组成部分,特别是在中国大陆建设的代工厂,便是以16nm为主。中芯世界则在持续进步28nm良率。



14/16nm
14nm制程首要用于中高端AP/SoC、GPU、矿机ASIC、FPGA、汽车半导体等制造。关于各厂商而言,该制程也是收入的首要来历,特别是英特尔,14nm是其现在的首要制程工艺,以该公司的体量而言,其带来的收入可想而知。而关于中国大陆本土的晶圆代工厂来说,特别是中芯世界和华虹,正在开发14nm制程技能,距离量产时刻也不远了。

现在来看,具有或行将具有14nm制程产能的厂商首要有7家,分别是:英特尔、台积电、三星、格芯、联电、中芯世界和华虹。

同为14nm制程,因为英特尔严格追求摩尔定律,因此其制程的水平和谨慎度是最高的,就现在已发布的技能来看,英特尔持续更新的14nm制程与台积电的10nm大致同级。

本年5月,英特尔称将于第3季度添加14nm制程产能,以解决CPU市场的缺货问题。

但是,英特尔公司自己的14nm产能现已满载,因此,该公司投入15亿美元,用于扩大14nm产能,预计可在本年第3季度添加产出。其14nm制程芯片首要在美国亚利桑那州及俄勒冈的D1X晶圆厂出产,海外14nm晶圆厂是位于爱尔兰的Fab 24,现在还在升级14nm工艺。

三星方面,该公司于2015年宣告正式量产14nm FinFET制程,先后为苹果和高通代工过高端手机处理器。现在来看,其14nm产能市场占有率仅次于英特尔和台积电。

台积电于2015下半年量产16nm FinFET制程。与三星和英特尔相比,虽然它们的节点命名有所不同,三星和英特尔是14nm,台积电是16nm,但在实践制程工艺水平上处于同一代代。

2018年8月,格芯宣告抛弃7nm LP制程研制,将更多资源投入到12nm和14nm制程。

格芯拟定了两条工艺路线图:一是FinFET,这方面,该公司有14LPP和新的12LPP(14LPP到7LP的过渡版别);二是FD-SOI,格芯现在在产的是22FDX,当客户需求时,还会发布12FDX。

联电方面,其14nm制程占比只要3%左右,并不是其主力产线。这与该公司的开展战略直接相关,联电重点开展特别工艺,无论是8吋厂,仍是12吋厂,该公司会聚焦在各种新的特别工艺开展上。

中芯世界方面,其14nm FinFET已进入客户实验阶段,2019年第二季在上海工厂投入新设备,规划下半年进入量产阶段,未来,其首个14nm制程客户很可能是手机芯片厂商。据悉,2019年,中芯世界的本钱支出由2018年的18亿美元进步到了22亿美元。

华力微电子方面,在年头的SEMICON China 2019先进制造论坛上,该公司研制副总裁邵华发表演讲时表示,华力微电子本年年底将量产28nm HKC+工艺,2020年底将量产14nm FinFET工艺。

12nm
从现在的晶圆代工市场来看,具备12nm制程技能能力的厂商很少,首要有台积电、格芯、三星和联电。联电于2018年宣告中止12nm及更先进制程工艺的研制。因此,现在来看,全球晶圆代工市场,12nm的首要玩家便是台积电、格芯和三星这三家。

台积电的16nm制程阅历了16nm FinFET、16FF+和16FFC三代,之后进入了第四代16nm制程技能,此刻,台积电改动战略,推出了改版制程,也便是12nm技能,用以招引更多客户订单,然后进步12吋晶圆厂的产能利用率。因此,台积电的12nm制程便是其第四代16nm技能。

格芯于2018年宣告退出10nm及更先进制程的研制,这样,该公司的最早进制程便是12nm了。该公司是分两条腿走路的,即FinFET和FD-SOI,这也充沛体现在了12nm制程上,在FinFET方面,该公司有12LP技能,而在FD-SOI方面,有12FDX。12LP首要针对人工智能、虚拟现实、智能手机、网络根底设施等应用,利用了格芯在纽约萨拉托加县Fab 8的专业技能,该工厂自2016年头以来,一直在大规模量产格芯的14nm FinFET产品。

因为许多连接设备既需求高度集成,又要求具有更灵活的功能和功耗,而这是FinFET难以完结的,12FDX则供给了一种替代途径,可以完结比FinFET产品功耗更低、本钱更低、射频集成更优。

三星方面,其晶圆代工路线图中原本是没有12nm工艺的,只要11nm LPP。不过,三星的11 LPP和格芯的12nm LP其实是“师出同门”,都是对三星14nm改良的产物,晶体管密度改变不大,效能则有所添加。因此,格芯的12nm LP与三星的12nm制程有非常多的共同之处,这可能也是AMD找三星代工12nm产品的原因之一。

中芯世界方面,不只14nm FinFET制程已进入客户风险量产阶段,并且在2019年第一季度,其12nm制程工艺开发进入客户导入阶段,第二代FinFET N+1研制获得打破,进展超过预期,一起,上海中芯南边FinFET工厂顺畅建造完结,进入产能布建阶段。这意味着用不了多久,一个新的12nm制程玩家将杀入战团。

10nm
到了10nm这个节点,行业玩家就只剩下台积电、三星和英特尔了。

总的来说,台积电仍是领先的,其典型产品便是2017年为苹果代工的A11处理器。而三星也紧跟步伐,在10nm这个点,两边的进展相差不大,但总体水平,台积电仍然略胜一筹。

本年,英特尔的老对手AMD打起了翻身仗,凭借台积电代工的7nm锐龙3000系列处理器,让AMD在CPU处理器的制程工艺上初次超越了英特尔。

而现在,英特尔的干流制程是14nm,不过,前不久传来消息,经过多年的攻关,该公司总算解决了10nm工艺的技能难题,现已开端量产。

不过,英特尔对制程节点的谨慎追求是很值得称道的,从具体的功能指标,特别是PPA和晶体管密度来看,英特尔的10nm比台积电的10nm有优势。

7nm
在7nm,现在只要台积电和三星两家了,并且三星的量产时刻相关于台积电显着滞后,这让三星不得不越过7nm,直接上7nm EUV,这使得像苹果、华为、AMD、英伟达这样的7nm制程大客户订单,几乎都被台积电抢走了。在这种先发优势下,台积电的7nm产能现已有些应接不暇。而在7nm EUV量产方面,台积电也领先了一步,代工的华为麒麟990现已商用,三星7nm EUV代工的高通新一代处理器也在出产傍边,估量很快就会面市了。

英特尔方面,在10nm之后,该公司称会在2021年推出7nm工艺,据悉,其7nm工艺现已走上正轨,功耗及功能看起来都非常好,依据之前的消息,7nm工艺会在2021年的数据中心GPU上首发。

结语
以上,就业界现已量产的干流先进制程工艺的开展情况,以及相关厂商的进展进行了阐述。而更先进的5nm、3nm、2nm等还没有进入量产阶段,就不再详述了。这些制程节点现已鲜有玩家了,现在只要台积电和三星这两家,台积电称将于明年量产5nm,而三星好像要越过5nm,直接上3nm。

Loading

作者 scforum

发表回复